Sign for Notice Everyday    Sign Up| Sign In| Link| English|

Our Sponsors

    Receive Latest News

    Feedburner
    Share Us


    ACM DIGITAL LIBRARY 2024 - ACM Conference Proceedings

    View: 1786

    Website http://www.ietr.vip/web.html | Want to Edit it Edit Freely

    Category ACM Conference Proceedings;AIPEi Compendex;Scopus;汤森路透会议论文集引文索引;( ISI Web of Science) EI Compendex, Scopus,SCI/EI,SCI期刊,中科院四区,EI期刊,EI目录,SCI目录,SCI会议,EI,EI检索会议.保证EI检索收录,EI期刊,SCI期刊,会议征稿在线,ISTP,CPCI,CPCI-S,CPCI-SSH,Engineering Index

    Deadline: June 26, 2024 | Date: June 28, 2024-June 29, 2024

    Venue/Country: New York, U.S.A

    Updated: 2018-08-18 11:49:58 (GMT+9)

    Call For Papers - CFP

    ACM Conference Proceedings

    Rapid Dissemination of Research Findings

    Website:http://www.ietr.vip/web.html

    E-Mail: infoatietr.vip

    QQ:1422679111 TEL:13212744212

    QQ群:336456792 427299873

    Conference proceedings capture the cutting edge of innovation across the spectrum of computing fields by publishing refereed research findings and invited papers from ACM conferences, workshops and symposia. More than any other field, conferences are a vital publication venue in computing, where the most cutting edge research is presented and discussed. ACM and its Special Interest Groups convene more than 170 conferences, symposia and workshops each year. Proceedings from these events are included in the ACM Digital Library.

    ACM DEVComputing for Development

    Original and innovative work on the applications, technologies, architectures, and protocols for computing in developing regions.

    ACM SE logo ACM SEACM Southeast Regional Conference

    ACM Southeast Regional Conference the oldest, continuously running, annual conference of the ACM. ACMSE provides an excellent forum for both faculty and students to present their research in a friendly and dynamic atmosphere in all areas of computer science.

    ANCS logo ANCSArchitecture for Networking and Communications Systems

    ANCS is a systems-oriented research conference, presenting original work that explores the relationship between the architecture of modern computer networks and the architecture of the individual hardware and software elements from which these networks are built. This year's conference will particularly emphasize insight into broader systems issues in its paper selection, to recognize and foster the growth of research that lies at the intersection of computer and network systems architecture.

    APGV logo APGVApplied Perception in Graphics & Visualization

    Research in computer graphics and visualization has great potential to benefit from, and contribute to, research in perception.

    This symposium has brought together researchers from the fields of perception, graphics and visualization, to facilitate a wider exchange of ideas. Our goals are to use insights from perception to advance the design of methods for visual, auditory and haptic representation, and to use computer graphics to enable perceptual research that would otherwise not be possible.

    To receive information and updates about APGV, you may subscribe to the APGV mailing list by sending an email to this address. To unsubscribe, send an email to this other addressResearch in computer graphics and visualization has great potential to benefit from, and contribute to, research in perception.

    This symposium has brought together researchers from the fields of perception, graphics and visualization, to facilitate a wider exchange of ideas. Our goa

    expand

    ASE logo ASEAutomated Software Engineering

    The ASE conference has become one of the world's premier Software Engineering venues. Software engineering is concerned with the analysis, design, implementation, testing, and maintenance of large software systems. Automated software engineering focuses on how to automate or partially automate these tasks to achieve significant improvements in quality and productivity.

    ASE encourages the submission of technical research papers, experience reports, demonstrations, and short papers about emerging topics. In addition it features a doctoral symposium, several associated workshops, and a number of in-depth tutorials. The proceedings will be published in the ACM International Proceedings Series. Authors of selected papers will be invited for a special issue of the Journal of Automated Software Engineering, published by Springer.

    ASIA CCS logo ASIA CCSACM Symposium on Information, Computer and Communications Security

    To build on the success of ACM Conference on Computer and Communications Security (CCS) and ACM Transactions on Information and System Security (TISSEC), the ACM Special Interest Group on Security, Audit, and Control (SIGSAC) formally established the annual ACM Symposium on InformAtion, Computer and Communications Security (ASIACCS).

    ASIACCS is a major international forum for information security researchers, practitioners, developers, and users to explore and exchange the newest cyber security ideas, breakthroughs, findings, techniques, tools, and experiences. We invite submissions from academia, government, and industry presenting novel research on all theoretical and practical aspects of computer and network security.

    ASPDAC logo ASPDACAsia and South Pacific Design Automation Conference

    ASP-DAC is the annual international conference on VLSI design automation in Asia and South Pacific region, one of the most active regions of design and fabrication of silicon chips in the world.

    The conference aims at providing the Asian and South Pacific CAD/DA and Design community with opportunities of presenting recent advances and with forums for future directions in technologies related to Electronic Design Automation (EDA).

    The format of the meeting intends to cultivate and promote an instructive and productive interchange of ideas among EDA researchers/developers and system/circuit/device designers. All scientists, engineers, and students who are interested in theoretical and practical aspects of VLSI design and design automation are welcomed to ASP-DAC.

    ASPLOS logo ASPLOSArchitectural Support for Programming Languages and Operating Systems

    ASPLOS is a multi-disciplinary conference for research that spans the boundaries of hardware, computer architecture, compilers, languages, operating systems, networking, and applications. ASPLOS provides a high quality forum for scientists and engineers to present their latest research findings in these rapidly changing fields. It has captured some of the major computer systems innovations of the past two decades (e.g., RISC and VLIW processors, small and large-scale multiprocessors, clusters and networks-of-workstations, optimizing compilers, RAID, and network-storage system designs).

    This conference occurs at a time when computer architecture is facing great challenges, due both to the end of single-processor performance scaling and to new demands imposed by mobile and gigascale computing. Multi-disciplinary research is increasingly important as boundaries between hardware/software and local/network computing blur, as the form and capabilities of computing devices beco

    expand

    ASSETS logo ASSETSACM SIGACCESS Conference on Computers and Accessibility

    Computer and Information Technologies have redesigned the way modern society operates. In particular, they have identified new avenues to assist individuals with special needs and provided tools and resources to alleviate the traditional barriers encountered by persons with disabilities. For example, speech generation systems have assisted persons with visual disabilities, voice recognition has helped people with motor impairments, multi-modal presentations have been shown to be effective in helping people with learning disabilities understand information.

    The ASSETS series of conferences is aimed at providing a technical forum for presenting and disseminating innovative research results that address the use of computing and information technologies to help persons with disabilities.

    The ASSETS meetings are developed with the specific focus of facilitating sharing of information, exchange of ideas, through formal paper sessions, demonstrations, posters, and in

    expand

    AUS-CEAustralian Computing Education

    BCB logo BCBBioinformatics, Computational Biology and Biomedicine

    ACM Conference on Bioinformatics, Computational Biology and Biomedicine (ACM BCB) is the main flagship conference of the newly formed ACM SIG Bioinformatics. We welcome papers that report new algorithms or novel computational approaches broadly in Bioinformatics, Computational Biology and Biomedicine. In addition to the emphasis on the novelty of computational techniques, we also encourage papers that report novel biological discovery through non-trivial data mining process by using existing computational techniques.

    C&C logo C&CCreativity and Cognition

    The Creativity & Cognition (C&C) conference series have evolved into lively interdisciplinary meetings, bringing together artists and scientists, designers educators,and researchers.

    We seek to understand human creativity in its many manifestations, to design new interactive techniques and tools to augment and amplify human creativity, and to use computational media technologies to explore new creative processes and artifacts in all human endeavors ranging from the arts to science, from design to education.

    We welcome contributions from researchers and practitioners, from artists and scientists, from designers, educators and decision makers.

    CCS logo CCSComputer and Communications Security

    The annual ACM Computer and Communications Security Conference is a leading international forum for information security researchers, practitioners, developers, and users to explore cutting-edge ideas and results, and to exchange techniques, tools, and experiences. We invite submissions from academia, government, and industry presenting novel research on all theoretical and practical aspects of computer security, as well as case studies and implementation experiences.

    CF logo CFComputing Frontiers Conference

    The increasing complexity and performance needs of current and future applications require novel and innovative approaches for design of various types of computing systems: embedded, mobile, high-performance, and more. Boundaries between state of the art and revolutionary innovation constitute the computing frontiers that must be pushed forward to provide the computational support required for the advancement of science and engineering. This conference focuses on a wide spectrum of advanced technologies and radically new solutions relevant to development of computer systems and aims to foster communication among scientists and engineers to achieve this.

    Authors are invited to submit full papers and poster-papers on all areas of innovative computing systems that extend the current frontiers of computer science and engineering. Submissions are sought on innovations in theory, methodologies, technologies, and implementation of advanced computer systems and focusing on computi

    expand

    CGO logo CGOCode Generation and Optimization

    The International Symposium on Code Generation and Optimization (CGO) provides a premier venue to bring together researchers and practitioners working at the interface of hardware and software on a wide range of optimization and code generation techniques and related issues. The conferences spans the spectrum from purely static to fully dynamic approaches, including techniques ranging from pure software-based methods to architectural features and support. Original contributions are solicited in areas including but not limited to the following: Code Generation and Optimization, Parallelism, Static and Dynamic Analysis, OS, Architecture and Runtime Support, Security and Reliability, and Practical Experience.

    CHI logo CHIConference on Human Factors in Computing Systems

    The ACM Conference on Human Factors in Computing Systems (CHI) series of academic conferences is generally considered the most prestigious in the field of human-computer interaction. It is hosted by ACM SIGCHI, the Special Interest Group on Computer-Human Interaction. CHI has been held annually since 1982 and attracts thousands of international attendees.

    CIKM logo CIKMConference on Information and Knowledge Management

    The Conference on Information and Knowledge Management (CIKM) provides an international forum for presentation and discussion of research on information and knowledge management, as well as recent advances on data and knowledge bases. The purpose of the conference is to identify challenging problems facing the development of future knowledge and information systems, and to shape future directions of research by soliciting and reviewing high quality, applied and theoretical research findings. An important part of the conference is the Workshops program which focuses on timely research challenges and initiatives. CIKM has a strong tradition of workshops devoted to emerging areas of database management, IR, and related fields. Workshops vary from year to year. The ACM CIKM Website has information on past conferences.

    CODASPY logo CODASPYData and Application Security and Privacy

    With rapid global penetration of the Internet and smart phones and the resulting productivity and social gains, the world is becoming increasingly dependent on its cyber infrastructure. Criminals, spies and predators of all kinds have learnt to exploit this landscape much quicker than defenders have advanced in their technologies. Security and Privacy has become an essential concern of applications and systems throughout their lifecycle. Security concerns have rapidly moved up the software stack as the Internet and web have matured. The security, privacy, functionality, cost and usability tradeoffs necessary in any practical system can only be effectively achieved at the data and application layers. This new conference provides a dedicated venue for high-quality research in this arena, and seeks to foster a community with this focus in cyber security.

    COMM logo COMMACM SIGCOMM

    SIGCOMM is the flagship annual conference of the ACM Special Interest Group on Data Communication (SIGCOMM) on the applications, technologies, architectures, and protocols for computer communication.

    SIGCOMM members include scientists, engineers, educators and students. They study all aspects of computer communications and networks: analysis, technical design, engineering, measurement and management. Our members are particularly interested in the systems engineering and architectural questions surrounding computer communication.

    CoNEXT logo CoNEXTInternational Conference On Emerging Networking Experiments And Technologies

    The International Conference on emerging Networking EXperiments and Technologies (CoNEXT) is a major forum for presentations and discussions of novel networking technologies that will shape the future of Internetworking..

    The first goal of this conference is to provide a selective and interdisciplinary forum for research in Networking. The second goal is to foster meaningful technical interaction among members of our community. The conference is single track and features a high-quality technical program with significant opportunities for individual and small-group technical and social interactions among a diverse set of participants. The CoNEXT conferences focus on stimulating exchanges between various international research communities.

    CPR logo CPRComputers and People Research

    Today's competitive and dynamic information-based global society has created a gap between the available supply of information technology (IT) professionals and the demand for their skills and talents. Subsequently, practitioners and researchers are challenged with addressing this gap through the preparation, recruitment and retention of IT professionals. From an individual perspective, questions remain about the skill and knowledge requirements necessary to secure employment in an evolving environment (skills gap). From an educational perspective, programs are attempting to increase student enrollments despite dwindling funding and the recent economics crisis (enrollment and funding gaps). From an IT profession perspective, organizations continue to struggle to adequately manage their talent, provide career development and plan for succession as the baby boomers begin to retire (recruitment and retention gaps). Given these many challenges, the ACM SIGMIS CPR conference aims to co

    expand

    CPSWeek logo CPSWeekCyber-physical Systems

    The CPSWeek brings together five leading conferences - HSCC, ICCPS, IPSN, LCTES, and RTAS - as well as several workshops and tutorials on various aspects on the research and development of cyber-physical systems: Embedded Systems, Hybrid Systems, Real-Time and Sensor Networks. Cyber-physical Systems (CPS) are engineered systems whose operations are monitored, coordinated, controlled, and integrated by a computing and communication core embedded in all types of objects and structures in the physical environment. Such systems must be operated safely, dependably, securely, efficiently and in real-time. Advances in this field will have great technical, economic and societal impacts in the near future.

    CSCW logo CSCWComputer Supported Cooperative Work

    The ACM Conference on Computer Supported Cooperative Work (CSCW) is a premier venue for presenting research in the design and use of technologies that affect groups, organizations, and communities. The development and application of new technologies continues to enable new ways of working together and coordinating activities. Although work is an important area of focus for the conference, technology is increasingly supporting a wide range of recreational and social activities. CSCW has also embraced an increasing range of devices, as we collaborate from different contexts and situations. The conference brings together top researchers and practitioners from academia and industry who are interested in both the technical and social aspects of collaboration.

    CSE logo CSEComputer Science Education

    The ACM Special Interest Group on Computer Science Education provides a forum for educators to discuss issues related to the development, implementation, and/or evaluation of computing programs, curricula, and courses, as well as syllabi, laboratories, and other elements of teaching and pedagogy.

    DAC logo DACDesign Automation Conference

    The Design Automation Conference is the world's leading technical conference and trade show on electronic design automation. DAC is where the IC Design and EDA ecosystem learns, networks, and does business. DAC is also where the latest technical research is presented. DAC covers all topics related to the design complex systems on chip: Embedded System design & verification down to physical layout verification & test. Now in its 48th consecutive year, DAC is the most respected name in the chip design community.

    DEBS logo DEBSDistributed Event-based Systems

    Event-based systems are rapidly gaining importance in many application domains ranging from real time monitoring systems in production, logistics and networking to complex event processing in finance and security. The event based paradigm has gathered momentum as witnessed by current efforts in areas including publish/subscribe systems, event-driven architectures, complex event processing, business process management and modelling, Grid computing, Web services notifications, information dissemination, event stream processing, and message-oriented middleware. The various communities dealing with event based systems have made progress in different aspects of the problem. The DEBS conference attempts to bring together researchers and practitioners active in the various sub communities to share their views and reach a common understanding.

    DOC logo DOCDesign of Communication

    SIGDOC focuses on the design of communication as it is taught, practiced, researched, and conceptualized in various fields, including technical communication, software engineering, information architecture, usability, and areas of design such as graphic, user interface, and Web design. Members of SIGDOC include information design professionals, educators, software engineers, researchers, Web designers, system developers, usability specialists, computer scientists, user interface designers, and information technology specialists.

    DocEng logo DocEngDocument Engineering

    Document engineering is the computer science discipline that investigates systems for documents in any form and in all media. As with the relationship between software engineering and software, document engineering is concerned with principles, tools and processes that improve our ability to create, manage, and maintain documents. The ACM Symposium on Document Engineering is an annual academic conference, sponsored by ACM SIGWEB and in-cooperation with ACM SIGDOC.

    The ACM Symposium on Document Engineering provides an annual international forum for presentations and discussions on principles, tools and processes that improve our ability to create, manage and maintain documents. It is sponsored by ACM by means of the ACM SIGWEB Special Interest Group. All DocEng Proceedings are available through the ACM Digital Library.

    DS-RT logo DS-RTDistributed Simulation and Real-Time Applications

    DS-RT serves as a forum for simulationists from academia, industry and research labs, for presenting recent research results in Distributed Simulation and Real Time Applications. DS-RT targets the growing overlap between large distributed simulations and real time applications, such as collaborative virtual environments pervasive and ubiquitous application scenarios, motor-, controller-, sensor- and actuator systems.

    The conference features prominent invited speakers as well as papers by top researchers in the field. DS-RT includes contributed technical papers, invited papers, and panel discussions.

    EC logo ECElectronic Commerce

    Since 1999, the ACM Special Interest Group on Electronic Commerce (SIGECOM) outlink has sponsored the leading scientific conference on advances in theory, systems, and applications for electronic commerce. The EC symposium features invited speakers, paper presentations, workshops, and tutorials covering all areas of electronic commerce. The natural focus of the conference is on computer science issues, but the conference is interdisciplinary in nature.

    EICS logo EICSEngineering Interactive Computing Systems

    EICS is an international conference devoted to the engineering of usable and effective interactive computing systems. Systems of interest include traditional workstation-based interactive systems, new and emerging modalities (e.g., gesture), entertaining applications (e.g., mobile and ubiquitous games) and development methods (e.g., extreme programming).

    EICS focuses on methods and techniques, and the tools that support them, for designing and developing interactive systems. It brings together people who study or practice the engineering of interactive systems, drawing from the HCI, Software Engineering, Requirements Engineering, CSCW, Ubiquitous / Pervasive Systems and Game Development communities.

    ESEM logo ESEMEmpirical Software Engineering and Measurement

    The objective of the International Symposium on Empirical Software Engineering and Measurement (ESEM) is to provide a forum where researchers and practitioners can report and discuss recent research results in the area of empirical software engineering and metrics.

    This conference encourages the exchange of ideas that help understand, from an empirical viewpoint, the strengths and weaknesses of software engineering technologies. The conference focuses on the processes, design and structure of empirical studies, and the results of specific studies. These studies may vary from controlled experiments to field studies and from quantitative to qualitative studies.

    ESWEEK logo ESWEEKEmbedded Systems Week

    Embedded Systems Week is an exciting event which brings together conferences, tutorials, and workshops centered on various aspects of embedded systems research and development. Three leading conferences in the area - CASES, CODES+ISSS, and EMSOFT - will take place at the same time and location, allowing attendees to benefit from a wide range of topics covered by these conferences and their associated tutorials and workshops.

    ETRA logo ETRAEye Tracking Research and Applications

    ETRA is a biennial symposium focused on all aspects of eye movement research across a wide range of disciplines. The goal of ETRA is to bring together computer scientists, engineers and behavioral scientists in support of a common vision of enhancing eye tracking research and applications.

    EuroSys logo EuroSysEuropean Conference on Computer Systems

    The EuroSys conferences are organised by EuroSys, the European Chapter of SIGOPS, sponsored by ACM SIGOPS.

    The EuroSys conference series brings together professionals from academia and industry. It has a strong focus on systems research and development: operating systems, data base systems, real-time systems and middleware for networked, distributed, parallel, or embedded computing systems. As a highly recognized conference, EuroSys has become a premier forum for discussing various issues of systems software research and development, including implications related to hardware and applications.

    Future EuroSys conferences will follow the pattern established by the previous EuroSys conferences, by seeking papers on all aspects of computer systems. EuroSys conferences will also include a number of workshops to allow junior and senior members of the systems community to explore leading-edge topics and ideas before they are presented at a conference.

    FOGA logo FOGAFoundations of Genetic Algorithms

    FPGA logo FPGAInternational Symposium on Field Programmable Gate Arrays

    The ACM/SIGDA International Symposium on Field-Programmable Gate Arrays is the premier conference for presentation of advances in all areas related to FPGA technology. For FPGA conferences, we solicit original submissions describing novel research and developments in the following (and related) areas of interest:

    * FPGA Architecture: Novel logic block architectures, combination of FPGA fabric and system blocks (DSP, processors, memories, etc.), design of routing fabric, I/O interfaces, new commercial architectures and architectural features.

    * FPGA Circuit Design: Novel FPGA circuits and circuit-level techniques, impact of process and design technologies, methods for analyzing and improving issues with soft-errors, leakage, static and dynamic power, clocking, power grid, yield, manufacturability, reliability, test; studies on future device technologies (e.g. nano-scale, 3D gate) for FPGAs.

    * CAD for FPGAs: Placement, routing, retiming, log

    expand

    FSE logo FSEFoundations of Software Engineering

    ACM SIGSOFT / FSE is one of the premier forums for researchers, practitioners and educators to present and discuss the most recent ideas, innovations, trends, and experiences in the field of software engineering. The distinctive features of FSE are an emphasis on the foundations of the field, the relatively small scale of the event, and the intense intellectual engagement among participants.

    GECCO logo GECCOGenetic and Evolutionary Computation Conference

    The Genetic and Evolutionary Computation Conferences present the latest high-quality results in the growing field of genetic and evolutionary computation. Topics include: genetic algorithms, genetic programming, evolution strategies, evolutionary programming, real-world applications, learning classifier systems and other genetics-based machine learning, evolvable hardware, artificial life, adaptive behavior, ant colony optimization, swarm intelligence, biological applications, evolutionary robotics, coevolution, artificial immune systems, and more.

    GIS logo GISGeographic Information Systems

    The ACM SIGSPATIAL International Conferences on Advances in Geographic Information Systems are events in a series of symposia and workshops that began in 1993 with the aim of bringing together researchers, developers, users, and practitioners carrying out research and development in novel systems based on geo-spatial data and knowledge, and fostering interdisciplinary discussions and research in all aspects of geographic information systems. The conferences provide a forum for original research contributions covering all conceptual, design, and implementation aspects of GIS ranging from applications, user interfaces, and visualization to storage management and indexing issues. These conferences are the premier annual events of the ACM Special Interest Group on Spatial Information (ACM SIGSPATIAL). Researchers, students, and practitioners are invited to submit their contributions.

    GLSVLSI logo GLSVLSIGreat Lakes Symposium on VLSI

    Original, unpublished papers, describing research in the general area of VLSI are solicited. Both theoretical and experimental research results are welcome. Program Tracks:

    VLSI Design: design of ASICs, microprocessors/micro-architectures, embedded processors, analog/digital/mixed-signal systems, NoC, interconnects, memories, and FPGAs.

    VLSI Circuits: analog/digital/mixed-signal circuits, RF and communication circuits, chaos/neural/fuzzy-logic circuits, high-speed/low-power circuits.

    Computer-Aided Design (CAD): hardware/software co-design, logic and behavioral synthesis, logic mapping, simulation and formal verification, layout (partitioning, placement, routing, floorplanning, compaction), algorithms and complexity analysis.

    Low Power and Power Aware Design: circuits, micro-architectural techniques, thermal estimation and optimization, power estimation methodologies, and CAD tools.

    Testing, Reliability, Fault-Toler

    expand

    GPCE logo GPCEGenerative Programming and Component Engineering

    Generative and component approaches are revolutionizing software development similar to how automation and components revolutionized manufacturing. Generative Programming (developing programs that synthesize other programs), Component Engineering (raising the level of modularization and analysis in application design), and Domain-Specific Languages (elevating program specifications to compact domain-specific notations that are easier to write, maintain, and analyze) are key technologies for automating program development.

    GPCE provides a venue for researchers and practitioners interested in foundational techniques for enhancing the productivity, quality, and time-to-market in software development that stems from deploying standard components and automating program generation. In addition to exploring cutting-edge techniques for developing generative and component-based software, our goal is to foster further cross-fertilization between the software engineering research com

    expand

    GROUP logo GROUPSupporting Group Work

    GROUP conferences are interested in topics related to computer-based systems that have an impact on groups, organizations and social networks. A strong emphasis of GROUP conferences is to foster a discourse on collaborative technology that bridges the fields of Computer Supported Cooperative Work (CSCW) and Information Systems (IS). Relevant issues include the design, implementation, deployment, evaluation, and impact of these systems as well as examinations of relevant research methodologies.

    HotMobile logo HotMobileMobile Computing Systems and Applications

    The Workshops on Mobile Computing Systems and Applications (HotMobile) are a series of highly selective, interactive workshops focused on mobile applications, systems, and environments, as well as their underlying state-of-the-art technologies. HotMobile's small workshop format makes it ideal for processing and discussing new directions or controversial approaches.

    We solicit submissions of position papers that focus primarily on applications and systems and that propose new directions of research, advocate non-traditional approaches to old (or new) ideas, or generate controversy and discussion.

    You can find a list of past workshops at www.hotmobile.org.

    HPDC logo HPDCHigh Performance Distributed Computing

    HPDC is the premier computer science conference for presenting new results relating to large scale high performance and distributed systems used in science and industry. For twenty years, HPDC has been at the center of new discoveries in clusters, grids, clouds, and parallel and multicore computers. HPDC is sponsored by the Association for Computing Machinery and the conference proceedings are published by the ACM Digital Library.

    HPG logo HPGHigh Performance Graphics

    High-Performance Graphics conferences synthesize two important and cutting-edge topics in computer graphics:

    * Graphics Hardware, represented since 1986 by an annual conference of that name focusing on graphics hardware, architecture, and systems since 1986.

    * Interactive Ray Tracing, represented since 2006 in an innovative symposium focusing on the emerging field of interactive ray tracing and global illumination techniques.

    By combining these two communities, we bring to authors and attendees the best of both, while extending the scope of the conference to cover the overarching field of performance-oriented graphics systems covering innovative algorithms, efficient implementations, and hardware architecture. This broader focus offers a common forum bringing together researchers, engineers, and architects to discuss the complex interactions of massively parallel hardware, novel programming models, efficient graphics algorithms, an

    expand

    HRI logo HRIACM/IEEE International Conference on Human-Robot Interaction

    Robots are becoming part of people's everyday social lives - and will increasingly become so. In future years, robots may become caretaking assistants for the elderly, or academic tutors for our children, or medical assistants, day care assistants, or psychological counsellors. Robots may become our co-workers in factories and offices, or maids in our homes. They may become our friends. As we move to create our future with robots, hard problems in human-robot interaction (HRI) exist, both technically and socially. The Fifth Annual Conference on HRI seeks to take up grand technical and social challenges in the field - and speak to their integration. HRI is a single-track, highly selective annual conference that seeks to showcase the very best research in human-robot interaction with roots in robotics, psychology, cognitive science, HCI, human factors, artificial intelligence, organizational behavior, anthropology, and many other fields. We invite broad participation.

    HT logo HTHypertext and Hypermedia

    The annual Hypertext conference is the only event dedicated totally to hypertext and hypermedia, in all its forms, covering all its uses, and which seeks both technical and literary contributions. The conference will include a range of participatory events such as papers, workshops, tutorials, panel discussions and more.

    I3D logo I3DSymposium on Interactive 3D Graphics and Games

    ACM I3D is the leading-edge conference for real-time 3D computer graphics and human interaction. We invite you to submit papers across the entire range of topics in interactive 3D graphics.

    ICAC logo ICACInternational Conference on Autonomic Computing and Communications

    Large-scale computer systems present numerous challenges: from Grids and clouds to enterprise datacenters and Internet services, resources and applications must be managed to maximize performance and power efficiency while maintaining predictable and reliable behavior in the face of varying workloads and failures. A system that addresses these challenges by integrating monitoring, decision processing and actuation is an Autonomic Computing System.

    Research in autonomic computing spans a variety of areas, from computer systems, architecture, databases and networks to machine learning and control theory. The purpose of ICAC is to bring together researchers and practitioners across these disciplines to address the multiple facets of self-management in computing systems and applications.

    ICCAD logo ICCADInternational Conference on Computer-Aided Design

    The International Conference on Computer-Aided Design (ICCAD) continues to be the premier and most selective conference devoted to technical innovations in design automation.

    ICEInternational Conference on Information and Computation Economies

    ICER logo ICERInternational Computing Education Research Workshop

    Computing education, as a research discipline, is the study of how people come to understand computational processes and devices, and how to improve that understanding. As computation becomes ubiquitous in our world, understanding of computing in order to design, structure, maintain, and utilize these technologies becomes increasingly important--both for the technology professional, but also for the technologically literate citizen. The research study of how the understanding of computation develops, and how to improve that understanding, is critically important for the technology-dependent societies in which we live.

    Learning: Computing education is naturally concerned with how students make sense of computational processes and devices in formal education, including primary, secondary, and post-secondary institutions. Computing education also goes beyond formal education. What do adults understand about computation, and how do they come to that understanding? What do chil

    expand

    ICFP logo ICFPInternational Conference on Functional Programming

    ICFP (International Conference on Functional Programming) is an annual programming language conference. It is sponsored by the Association for Computing Machinery (ACM) under the aegis of the ACM Special Interest Group on Programming Languages (SIGPLAN), in association with Working Group 2.8 of the International Federation of Information Processing (IFIP). ICFP combined two former biennial conferences: Functional Programming and Computer Architecture (FPCA) and Lisp and Functional Programming (LFP)

    ICFP provides a forum for researchers and developers to hear about the latest work on the design, implementations, principles, and uses of functional programming. The conference covers the entire spectrum of work, from practice to theory, including its peripheries.

    ICMI-MLMI logo ICMI-MLMIMultimodal Interfaces and Machine Learning for Multimodal Interaction

    The main aim of ICMI-MLMI is to further scientific research within the broad field of multimodal interaction, methods, and systems, focusing on major trends and challenges, and working towards identifying a roadmap for future research and commercial success. The conference will continue to feature a single-track with keynote speakers, technical paper presentations, poster sessions, a doctoral consortium, and demonstrations of state of the art multimodal systems and concepts. The conference will be followed by workshops.

    ICPE logo ICPEACM/SPEC International Conference on Performance Engineering

    The goal of the International Conference on Performance Engineering (ICPE) is to integrate theory and practice in the field of performance engineering by providing a forum for sharing ideas and experiences between industry and academia. ICPE is an annual conference that originated from the merger of the ACM Workshop on Software and Performance (WOSP) with the SPEC International Performance Engineering Workshop (SIPEW) in 2010. Nowadays, complex systems of all types, like Web-based systems, data centers and cloud infrastructures, social networks, peer-to-peer, mobile and wireless systems, cyber-physical systems, the Internet of Things, real-time and embedded systems, have increasingly distributed and dynamic system architectures that provide high flexibility, however, also increase the complexity of managing end-to-end application performance.

    ICPE brings together researchers and industry practitioners to share and present their experiences, discuss challenges, and report

    expand

    ICS logo ICSInternational Conference on Supercomputing

    ICS (International Conference on Supercomputing) is the premier international forum for the presentation of research results in high-performance computing systems.

    Papers are solicited on all aspects of research, development, and application of large-scale, high-performance experimental and commercial systems. The list of topics includes (but not limited to):

    * Computationally challenging scientific and commercial applications, particularly studies and experiences on large-scale systems;

    * Computer architecture and hardware, including multicore and multiprocessor systems, accelerators, memory, interconnection networks and storage and file systems;

    * High-performance computational and programming models, including new languages and middleware for high performance computing, autotuning and function-specific code generators;

    * High performance system software, including compilers, runtime systems, programming and

    expand

    ICSE logo ICSEInternational Conference on Software Engineering

    ICSE, the International Conference on Software Engineering,® is the premier software engineering conference, providing a forum for researchers, practitioners and educators to present and discuss the most recent innovations, trends, experiences and concerns in the field of software engineering. Note that ICSE: International Conference on Software Engineering® is a registered trademark.

    On this web site you can find information about the ICSE conference series as well as useful information for organizing a future ICSE conference.

    ICUIMC logo ICUIMCInternational Conference on Ubiquitous Information Management and Communication

    This conference explores emerging interests and novel ideas in the area of information management, communication technologies and their implication of social interaction. The aim of the Fifth International Conference on Ubiquitous Information Management and Communication is to provide a forum promoting new visionary approaches and interdisciplinary researches. The conference brings researchers together from academia and industry, encourages the exchanges of ideas and information, and provides a unique opportunity in which both technology and social science meet. Two main tracks for information processing management and communication will be held, covering both research and application works of information management, intelligent information processing, interaction management, networking/telecommunications, and social interaction.

    Each of these topic areas is expanded below but their sub-topics are not listed exhaustively:

    Information Processing Man

    expand

    IDEAS logo IDEASInternational Database Engineering & Applications Symposium

    The aim of the IDEAS series of symposiums is to address the engineering and application aspects of databases.

    The symposium provides an international forum for discussion of the problems of engineering database systems involving not only database technology but the related areas of information retrieval, multimedia, human machine interface and communication. The goal of IDEAS is to foster closer interaction among the industrial, research and user communities and provides an excellent opportunity for them to meet, discuss ideas, examine the current ones and develop new solutions and research directions. Along with the technical sessions, the symposium also features prominent invited speakers. IDEAS series of symposiums are scheduled annually and have been held since 1997 in North America, Europe and Asia. It has attracted participants from governmental and non-governmental agencies, industries, and academia to exchange ideas and share experiences.

    IH&MMSecInformation Hiding and Multimedia Security

    For over a decade, two workshops have been shaping the landscape of research in multimedia security. On one hand, Information Hiding (aka. IH) was created in 1996 and focused on digital watermarking, steganography and steganalysis, anonymity and privacy, hard to intercept communications and covert/subliminal channels. On the other hand, the ACM Workshop on Multimedia and Security (aka. ACM MMSec) was initiated in 1998 and focused on data hiding, robust/perceptual hash, biometrics, video surveillance, and multimedia forensics. Key seminal works have been published in these two workshops and papers accepted for publication there attracted over 9,000 citations in total. Year after year, the two communities grew closer and the overlap between their respective scope got bigger. As a result, after 14 successful editions each, IH and ACM MMSec decided to merge in a single event in an attempt to establish synergies between the two communities while building on the reputation obtained over the

    expand

    IMC logo IMCInternet Measurement Conference

    The Internet Measurement Conference is an annual conference focusing on Internet measurement and analysis, sponsored by ACM SIGCOMM and ACM SIGMETRICS in cooperation with USENIX. The aim is that papers presented at the conference contribute to the current understanding of how to collect or analyze Internet measurements, or give insight into how the Internet behaves.

    IMC was begun as a workshop in 2001 in response to the difficulty at that time finding appropriate publication/presentation venues for high-quality Internet measurement research.

    The conference is generally held in late October or early November. In its first two years as a Workshop, attendance was limited, but now as a conference it is open to all interested in attending.

    Papers are submitted in May with notifications in July and camera-ready papers due in August. The acceptance rate to date has been around 25%. IMC is usually open to both full-length papers and extended abstracts,

    expand

    IR logo IRResearch and Development in Information Retrieval

    SIGIR is the major international forum for the presentation of new research results and for the demonstration of new systems and techniques in the broad field of information retrieval (IR). SIGIR welcomes contributions related to any aspect of IR theory and foundation, techniques, and applications. Relevant topics include, but are not limited to: Document Representation and Content Analysis (e.g., text representation, document structure, linguistic analysis, non-English IR, cross-lingual IR, information extraction, sentiment analysis, clustering, classification, topic models, facets) Queries and Query Analysis (e.g., query representation, query intent, query log analysis, question answering, query suggestion, query reformulation) Users and Interactive IR (e.g., user models, user studies, user feedback, search interface, summarization, task models, personalized search) Retrieval Models and Ranking (e.g., IR theory, language models, probabilistic retrieval models, featur

    expand

    ISCA logo ISCAInternational Symposium on Computer Architecture

    The ISCA conference is the premier forum for new ideas and experimental results in computer architecture. Novel papers are solicited on a broad range of topics, including, but not limited to: Processor, memory, and storage systems architecture Interconnection networks Instruction, thread, and data-level parallelism Dependable architectures Architecture support for security Power and energy efficient architectures Application specific, reconfigurable, and embedded architectures Network processor and router architectures Architectures for emerging technologies and applications Architecture modeling and performance evaluation

    ISLPED logo ISLPEDInternational Symposium on Low Power Electronics and Design

    The International Symposium on Low Power Electronics and Design (ISLPED) is the premier forum for presentation of recent advances in all aspects of low power design and technologies, ranging from process and circuit technologies, to simulation and synthesis tools, to system level design and optimization.

    ISMM logo: (c) Richard Jones ISMMInternational Symposium on Memory Management

    ISMM is a forum for research in memory management. Areas of interest include but are not limited to:

    * Memory allocation and deallocation

    * Garbage collection algorithms and implementations

    * Compiler analyses and tools to aid memory management

    * Empirical analysis of heap intensive programs

    * Formal analysis and verification of heap intensive programs

    * Memory system design and analysis

    * Verification of memory management algorithms

    * Development and evaluation of open source implementations

    ISMM solicits full-length submissions covering new work on these topics, as well as papers presenting confirmations or refutations of important prior results. Surveys and comparative analyses that shed new light on previously published techniques are also welcome.

    ISPD logo ISPDInternational Symposium on Physical Design

    The International Symposium on Physical Design (ISPD) provides a premier forum to exchange ideas and promote research on critical areas related to the physical design of VLSI systems. All aspects of physical design, including its interactions with architecture, behavioral- and logic-level synthesis, and back-end performance analysis and verification are within the scope of the symposium. Target domains include semi-custom and full-custom IC, regular fabrics, FPGA, and systems-on-chip/systems-in-package.

    ISSTA logo ISSTAInternational Symposium on Software Testing and Analysis

    ISSTA is the leading research conference in software testing and analysis, bringing together academics, industrial researchers, and practitioners to exchange new ideas, problems, and experience on how to analyze and test software systems. The ISSTA program will include technical papers, keynotes, workshops, and a doctoral symposium.

    ITE logo ITEInformation Technology Education Conference

    SIGITE is the Association for Computing Machinery's Special Interest Group for Information Technology Education. SIGITE's mission is to provide a forum for the interaction of practitioners, educators, and others in the field of Information Technology Education in order to exchange ideas and engage in activities that advance the knowledge of its members, the curriculum and teaching on Information Technology Curriculum, and the development and transfer of innovative concepts and applications in technology and pedagogy. SIGITE currently has a focused effort on the development of a model curriculum and guidelines for accreditation. Educators from two- and four-year universities and colleges as well as high school teachers are welcome and would benefit from SIGITE's activities. Practitioners in the field would also benefit from some of the technical tracks and offer valuable input for content for future employees.

    Information Technology (IT) in its broadest sense encompasses a

    expand

    ITiCSE logo ITiCSEInnovation and Technology in Computer Science Education

    ITiCSE is sponsored by the ACM Special Interest Group on Computer Science Education (SIGCSE). The program of the conference consists of keynote lectures, paper sessions, working groups, exhibits, panels, posters, courseware demonstrations, tips and techniques.

    IUI logo IUIIntelligent User Interfaces

    n March 1988, a group of researchers gathered in Monterey, California to participate in a workshop titled: "Architectures for Intelligent Interfaces". It was the beginning of what at first would be a slow but steady development of the field of Intelligent User Interfaces. Three years later, the book "Intelligent User Interfaces" was published edited by Joe Sullivan and Sherman Tyler, the organizers of the workshop. The book highlighted the main papers presented in the workshop and served as a basis for not only future work, but also future events that continued that work. It was not until 1993, however, that Bill Hefley organized the First International Workshop on Intelligent User Interfaces, which took place in Orlando, Florida. The event, sponsored by ACM, attracted a nascent group of researchers whose work clearly defined the field as a novel and promising intersection of Artificial Intelligence and Human-Computer Interaction.

    JCDL logo JCDLJoint Conference on Digital Libraries

    Since 2001, the Joint Conference on Digital Libraries has served as the major international forum focused on digital libraries and associated technical, practical, and social issues. JCDL encompasses the many meanings of the term "digital libraries," including (but not limited to) new forms of information institutions; operational information systems with all manner of digital content; new means of selecting, collecting, organizing, and distributing digital content; and theoretical models of information media, including document genres and electronic publishing. Digital libraries may be viewed as a new form of information institution or as an extension of the services libraries currently provide. Representatives from academe, government, industry, and others are invited to participate in this annual conference. The conference draws from a broad array of disciplines including computer science, information science, librarianship, archival science and practice, museum studies and practice

    expand

    K-CAP logo K-CAPKnowledge Capture

    In today's knowledge-driven world, effective access to and use of information is a key enabler for progress. Modern technologies not only are themselves knowledge-intensive technologies, but also produce enormous amounts of new information that we must process and aggregate. These technologies require knowledge capture, which involve the extraction of useful knowledge from vast and diverse sources of information as well as its acquisition directly from users. Driven by the demands for knowledge-based applications and the unprecedented availability of information on the Web, the study of knowledge capture has a renewed importance.

    Researchers that work in the area of knowledge capture traditionally belong to several distinct research communities, including knowledge engineering, machine learning, natural language processing, human-computer interaction, artificial intelligence and the Semantic Web. K-CAP provides a forum that brings together members of disparate research com

    expand

    KDD logo KDDKnowledge Discovery and Data Mining

    The annual ACM SIGKDD conference is the premier international forum for data mining researchers and practitioners from academia, industry, and government to share their ideas, research results and experiences. The KDD conferences feature keynote presentations, oral paper presentations, poster sessions, workshops, tutorials, panels, exhibits, demonstrations, and the KDD Cup competition.

    METRICS logo METRICSMeasurement and Modeling of Computer Systems

    SIGMETRICS is the flagship conference of the ACM special interest group for the computer systems performance evaluation community.

    The ACM SIGMETRICS conference solicits papers on the development and application of state-of-the-art, broadly applicable analytic, simulation, and measurement-based performance evaluation techniques. Of particular interest is work that furthers the state-of-the-art in performance evaluation methods, or work that creatively apply previously developed methods to understand or gain important insights into key design trade-offs in computer or network systems.

    MICRO logo MICROMicroarchitecture

    MICRO is the premier forum for presenting, discussing and debating new and innovative microarchitecture ideas and techniques for advanced computing and communication systems. The goal of this symposium is to bring together researchers in fields related to processor architecture, compilers, and systems, for technical exchange on traditional MICRO topics as well as new emerging research areas. Historically, the MICRO community has enjoyed having close interaction between academic researchers and industrial designers.

    Middleware logo MiddlewareMiddleware Conference

    The Middleware Conference is a forum for the discussion of important innovations and recent advances in the design, construction and uses of middleware. Middleware is a distributed-system software that resides between applications and underlying platforms (operating systems; databases; hardware), and/or ties together distributed applications, databases or devices. Its primary role is to coordinate and enable communication between different layers or components while isolating much of the complexity of distribution into a single, well tested and well understood system abstraction.

    MM logo MMInternational Multimedia Conference

    ACM Multimedia is the worldwide premier multimedia conference and a key event to display scientific achievements and innovative industrial products. The Conference offers to scientists and practitioners a range of plenary scientific and technical sessions, tutorials, panels and discussion meetings on relevant and challenging questions on the horizon of multimedia. The Interactive Art program provides the opportunity of interaction between artists and computer scientists and investigation on the application of multimedia technologies to art and cultural heritage.

    MobiCom logo MobiComMobile Computing and Networking

    The ACM MobiCom Conference, sponsored by ACM SIGMOBILE, is dedicated to addressing the challenges in the areas of mobile computing and wireless and mobile networking. The MobiCom Conference serves as the premier international forum addressing networks, systems, algorithms, and applications that support the symbiosis of mobile computers and wireless networks. MobiCom is a highly selective conference focusing on all issues in mobile computing and wireless and mobile networking at the physical layer and above.

    MobiHoc logo MobiHocMobile and Ad Hoc Networking and Computing

    The ACM International Symposium on Mobile Ad Hoc Networking and Computing, known as MobiHoc, is sponsored by ACM SIGMOBILE> It focuses on the latest research in the rapidly growing area of mobile ad hoc networking and computing, bringing together researchers and practitioners from a broad spectrum of wireless networking research to present the most up-to-date results and achievements in the field. The symposium will include a highly selective technical program, hands-on research demonstrations, a distinguished keynote address, and panels.

    MobiSys logo MobiSysMobile Systems, Applications, and Services

    The annual MobiSys Conference seeks to present innovative and significant research on the design, implementation, usage, and evaluation of mobile computing and wireless systems, applications, and services. It is jointly sponsored by ACM SIGMOBILE and the USENIX association in cooperation with ACM SIGOPS.

    MOD logo MODInternational Conference on Management of Data

    The annual ACM SIGMOD/PODS conference is a leading international forum for database researchers, practitioners, developers, and users to explore cutting-edge ideas and results, and to exchange techniques, tools, and experiences.

    MSWiM logo MSWiMModeling, Analysis and Simulation of Wireless and Mobile Systems

    The ACM MSWiM Conference features symposia and workshops on emerging topics related to wireless networking and mobile computing.

    NOSSDAV logo NOSSDAVNetwork and Operating Systems Support for Digital Audio and Video

    The NOSSDAV Conference is the leading workshop on network and operating systems support for digital audio and video. The conference focus is on emerging research topics, controversial ideas, and future research directions in the area of multimedia systems research.

    NOSSDAV encourages experimental research based on real systems and real data sets. Public availability of the source code and data sets discussed in papers presented at NOSSDAV is highly encouraged. Papers are accepted on a broad ranges of topics related to the transmission and presentation of digital audio/video objects, particularly systems-level support for distributed social networking, local dispatching, performance aspects of multi-core processors, etc.

    NPAR logo NPARNon-Photorealistic Animation and Rendering

    The international NPAR symposium is dedicated to non-photorealistic animation and rendering. The symposium is sponsored by ACM SIGGRAPH and is held in cooperation with Eurographics.

    Non-photorealistic animation and rendering (NPAR) refers to computational techniques for visual communication. Such techniques usually generate imagery and motion which is expressive, rather than photorealistic, although they may incorporate realistic elements. Researchers, artists, and practitioners of all areas connected to non-photorealistic animation and rendering are invited to submit papers and posters on their work. The three categories of submissions are: Research (including new algorithms, scientific studies, analysis, and data); Production (including candid discussions of the process of creating a work or art tool); Meta (including statements about research that do not contain new results, e.g., grand challenges, position papers, evaluation standards, surveys, and primers on art/aest

    expand

    PACT logo PACTParallel Architectures and Compilation Techniques

    The International Conference on Parallel Architectures and Compilation Techniques (PACT) is the premier international forum for the presentation of research results in parallel computing. PACT is a multi-disciplinary conference, bringing together researchers and practitioners in areas including instruction-level parallelism, thread-level parallelism, multiprocessor parallelism and large scale systems.

    PADS logo PADSPrinciples of Advanced and Distributed Simulation

    The PADS workshop has expanded its traditional focus on parallel and distributed simulation methods and applications to cover all aspects of simulation technology, including the following areas:

    * The construction of simulation engines using advanced computer science technology.

    * Techniques for constructing scalable simulations.

    * Advanced modeling techniques that allow solution of previously difficult or impossible problem domains.

    * Simulation visualization techniques.

    * Algorithms and methods for parallel or distributed simulation, including synchronization, scheduling, memory management, and load balancing.

    * Applications of large-scale or distributed simulation methods.

    * Distributed interactive simulation and distributed virtual environments.

    * Tools and techniques for interoperability of simulations; emulations of real systems.

    * Mechanisms fo

    expand

    PEPM logo PEPMPartial Evaluation and Program Manipulation

    The PEPM Symposium/Workshop series aims at bringing together researchers and practitioners working in the areas of program manipulation, partial evaluation, and program generation. PEPM focuses on techniques, theory, tools, and applications of analysis and manipulation of programs.

    PLDI logo PLDIProgramming Language Design and Implementation

    PLDI is a forum where researchers, developers, educators, and practitioners exchange information on the latest practical and experimental work in the design and implementation of programming languages. PLDI seeks original research papers that focus on the design, implementation, development, and use of programming languages. PLDI emphasizes innovative and creative approaches to compile-time and runtime technology; novel language designs and features; and results from implementations.

    PLoP logo PLoPPattern Languages of Programs

    Pattern Languages of Programs (PLoP™) conference is a premier event for pattern authors and pattern enthusiasts to gather, discuss and learn more about patterns and software development.

    The purpose of PLoP is to promote development of pattern languages, primarily about aspects of software: design and programming, testing, software architecture, user interface design, domain modelling, and software processes. Patterns and pattern languages for domains outside software are also welcome.

    PODC logo PODCPrinciples of Distributed Computing

    PODC is a conference that focuses on research in the theory, design, specification and implementation of distributed systems. PODC is sponsored by ACM, SIGACT, and SIGOPS.

    PODC solicits papers on all areas of distributed systems. We encourage submissions dealing with any aspect of distributed computing from theoretical or experimental viewpoints. The common goal is to improve understanding of th


    Keywords: Accepted papers list. Acceptance Rate. EI Compendex. Engineering Index. ISTP index. ISI index. Impact Factor.
    Disclaimer: ourGlocal is an open academical resource system, which anyone can edit or update. Usually, journal information updated by us, journal managers or others. So the information is old or wrong now. Specially, impact factor is changing every year. Even it was correct when updated, it may have been changed now. So please go to Thomson Reuters to confirm latest value about Journal impact factor.